Multiple patterning

Multiple patterning (or multi-patterning) is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected number of features. There are several types of double patterning. In combination, these may be used for multiple patterning.[1]

Minimum Exposures Metal Half-pitch Node References
193i
193i+193i
45 nm 32/28/22 nm [2]
193i+193i 32 nm 20/16/14 nm [3]
193i+193i 24 nm 10 nm [4]
193i+193i
193i+193i+193i
193i+EUV
16 nm 7 nm [5][6][7]
193i+193i+193i
193i+193i+193i+193i
193i+EUV+EUV
EUV+EUV+EUV
11 nm 5 nm [8][9][10][11]

There have been numerous concerns that multiple patterning diminishes or even reverses the node-to-node cost reduction expected with Moore's Law. Extra cost at 7nm node is anticipated from replacement of a single 193i exposure by EUV or two 193i exposures. EUV is more expensive than two 193i exposures since EUV is more liable to print smaller mask defects not resolvable by 193i.[12] 5nm node adds even more exposure costs.


Dual-Tone Photoresist Patterning

Self-aligned double patterning at the photoresist level represents the simplest, most cost-effective approach if it is achievable at the 20nm level. A key difference between the dual-tone photoresist and dual-tone development approaches is that a dual-tone photoresist has photoresist remaining except for the intermediate dose levels, while the latter has photoresist remaining only at the intermediate dose levels.

Dual-Tone Photoresists

Dual-tone photoresist: The lowest and highest doses of a single exposure result in insolubility, while the intermediate doses allow the photoresist to be removed by developer.

Dual-tone photoresists have been developed years ago, allowing the printing of two lines in a single exposure imaging of a single line. Early demonstrations relied on crosslinking of the highest dose regions, rendering them insoluble in developer, while the lowest dose regions were normally insoluble already.[13] Alternatively, a photobase generator may generate acid quenchers at high doses; the acid quenching counters the photoacid's ability to render the photoresist soluble.[14][15] The simplicity and cost-effectiveness of this approach make it compelling as a method of extending current photolithographic capability. The main drawback is the ~2x larger dose needed for photobase generation or crosslinking.[16] However, the key advantages of the dual-tone photoresist are keeping the same number of process steps, and the ability to use gray-scale features[17] to cut the photoresist lines (see lower right figure on mask for dual-tone). The dual-tone photoresist has particular standing-wave sensitivity in the negative tone image. In addition, the spontaneous generation of photobase and photoacid reduces chemical contrast, and unrestrained diffusion of both photoacid and photobase may aggravate line-edge roughness (LER). Two-stage photobase generation and polymer binding of the photoacid and photobase generators have been proposed to address these issues.[18]

Dual-Tone Development

Dual-tone development.Two develop steps remove highest and lowest exposure dose regions of the photoresist, leaving the intermediate dose edges.
Grayscale mask for dual-tone. Black areas represent opaque regions on the photomask, white areas represent the transparent regions, and gray areas the grayscale regions. The grayscale regions are essentially extended intermediate dose regions, wider than generated by the interface between black and white areas.

Dual-tone development is similar to the dual-tone photoresist technique described above in that it doubles features without additional exposure. Instead the photoresist is developed twice; the first time by conventional developer which removes the higher exposure dose areas, the second time by a different organic solvent which removes the lower exposure dose areas. This leaves the intermediate dose areas (normally defining the two feature edges) standing. The thresholds for positive and negative development should allow sufficient tolerance in retaining the photoresist. Equivalently, the same photoresist should be able to achieve 22 nm spaces either by positive or negative tone development. The photoresist design for dual-tone development has shown easier progress than dual-tone exposure for sub-30nm features, utilizing suppressed excessive acid diffusion at 2nd post-exposure bake (PEB) with a higher Tg polymer and reduced solubility in both positive-tone and negative-tone developers.[19] There is still a fundamental need to match the positive and negative developed feature widths as closely as possible, which is made difficult by the lower exposure latitudes when approaching intensity minima and maxima.

Photoresist Bilayer

SMIC recently developed[20] a double patterning method where a negative-tone developed photoresist is coated over a positive-tone developed photoresist. The two photoresists respond to different dose thresholds, and furthermore, after the upper photoresist is negatively developed, the lower photoresist is etched using the upper photoresist as etch mask. The lower photoresist is subsequently positively developed. This results in a double-patterned structure similar to the spacer patterning method but not requiring the spacer deposition. It is also a single exposure technique, which allows additional cost reduction. It is essentially dual-tone development as described above, carried out in two photoresist layers.

Self-Aligned Spacer

Spacer mask: first pattern; deposition; spacer formation by etching; first pattern removal; etching with spacer mask; final pattern

In spacer patterning, a spacer is a film layer formed on the sidewall of a pre-patterned feature. A spacer is formed by deposition or reaction of the film on the previous pattern, followed by etching to remove all the film material on the horizontal surfaces, leaving only the material on the sidewalls. By removing the original patterned feature, only the spacer is left. However, since there are two spacers for every line, the line density has now doubled. This is commonly referred to as Self-Aligned Doubled Patterning (SADP). The spacer technique is applicable for defining narrow gates at half the original lithographic pitch, for example.

The spacer approach is unique in that with one lithographic exposure, the pitch can be halved indefinitely with a succession of spacer formation and pattern transfer processes. For example, two iterations of SADP leads to quartering of the pitch or quadrupling of features within the original pitch. Hence, this is often referred to as Self-Aligned Quadruple Patterning (SAQP). This conveniently avoids the serious issue of overlay between successive exposures. The spacer lithography technique has most frequently been applied in patterning fins for FinFETs.

As spacer materials are commonly hardmask materials, their post-etch pattern quality tends to be superior compared to photoresist profiles after etch, which are generally plagued by line edge roughness.[21]

The main issues with the spacer approach are whether the spacers can stay in place after the material to which they are attached is removed, whether the spacer profile is acceptable, and whether the underlying material is attacked by the etch removing the material attached to the spacer. Pattern transfer is complicated by the situation where removal of the material adjacent to the spacers also removes a little of the underlying material. This results in higher topography on one side of the spacer than the other.[22]

The positioning of the spacer also depends on the pattern to which the spacer is attached. If the pattern is too wide or too narrow, the spacer position is affected. However, this would not be a concern for critical memory feature fabrication processes which are self-aligned.

Double/Multiple exposure

Double exposure: photoresist coating; first exposure; second exposure; development
Double-dipole lithography layout decomposition. Red horizontal lines and blue vertical lines are better printed on separate masks when dipole illumination is used.

Double exposure is a sequence of two separate exposures of the same photoresist layer using two different photomasks.[23] This technique is commonly used for patterns in the same layer which look very different or have incompatible densities or pitches. In one important case, the two exposures may each consist of lines which are oriented in one or the other of two usually perpendicular directions. Each orientation uses a corresponding dipole illumination. This allows the decomposition of two-dimensional patterns into two one-dimensional patterns which are easier to print. This is the basis of double-dipole lithography (DDL) technology from Brion Technologies, a subsidiary of ASML.[24] The sum of the exposures cannot improve the minimum resolution limit unless the photoresist response is not a simple addition of the two exposures. The double exposure technique allows manufacturability of minimum pitch features in a layout that may contain a variety of features. The 65 nm node saw the introduction of alternating phase-shift masks in manufacturing.[25] This technology is typically a double exposure approach. As long as double exposure can be used effectively and is kept within alignment tolerances, it is the preferred patterning approach since it does not require additional follow-up process steps.

Direct-write electron-beam lithography is inherently a multiple exposure technique, as the beam is shaped and projected onto the resist at multiple locations.

Double Expose, Double Etch (mesas)

Double Expose, Double Etch (lines): Photoresist coating over first pattern; photoresist features between previous features; etching; mask removal

This is best described by considering a process example. A first exposure of photoresist is transferred to an underlying hardmask layer. After the photoresist is removed following the hardmask pattern transfer, a second layer of photoresist is coated onto the sample and this layer undergoes a second exposure, imaging features in between the features patterned in the hardmask layer. The surface pattern is made up of photoresist features edged between mask features, which can be transferred into the final layer underneath. This allows a doubling of feature density. The Interuniversity Microelectronics Centre (IMEC, Belgium) recently used this approach to pattern the gate level for its 32 nm half-pitch demonstration.[26]

A concern with the use of this approach is the discrepancy and delay between the second photoresist pattern and the first hardmask pattern, resulting in an additional source of variation.

A variation on this approach which eliminates the first hardmask etch is resist freezing, which allows a second resist coating over the first developed resist layer. JSR has demonstrated 32 nm lines and spaces using this method, where the freezing is accomplished by surface hardening of the first resist layer.

Double Expose, Double Etch (trenches)

Double Expose, Double Etch (trenches): Photoresist coating over first pattern; etching adjacent to previous features; mask removal

A "brute force" approach for patterning trenches involves a sequence of (at least) two separate exposures and etchings of independent patterns into the same layer. For each exposure, a different photoresist coating is required. When the sequence is completed, the pattern is a composite of the previously etched subpatterns. By interleaving the subpatterns, the pattern density can theoretically be increased indefinitely, the half-pitch being inversely proportional to the number of subpatterns used. For example, a 25 nm half-pitch pattern can be generated from interleaving two 50 nm half-pitch patterns, three 75 nm half-pitch patterns, or four 100 nm half-pitch patterns. The feature size reduction will most likely require the assistance of techniques such as chemical shrinks, thermal reflow, or shrink assist films. This composite pattern can then be transferred down into the final layer.

A possible application would be, for example, dividing the contact layer into two separate groups: gate contacts and source/drain contacts, each defining its own mask. IMEC recently used an approach like this to demonstrate a 45 nm node 6-transistor SRAM cell using dry lithography .

As with the double-expose, double-etch mesas approach, any discrepancy among the different interleaved patterns would be a source of feature-to-feature variation.

Directed self-assembly (DSA)

Directed self-assembly by graphoepitaxy: pre-defined surface pattern; block copolymer deposition; removal of one copolymer component
Directed self-assembly by chemoepitaxy: pre-defined surface pattern; block copolymer deposition; removal of one copolymer component

As of 2010, much progress was reported on the use of PMMA-PS block copolymers to define sub-20 nm patterns by means of self-assembly, guided by surface topography (graphoepitaxy) and/or surface chemical patterning (chemoepitaxy).[27] The key benefit is the relatively simple processing, compared to multiple exposures or multiple depositions and etching. The main drawback of this technique is the relatively limited range of feature sizes and duty cycles for a given process formulation. Nevertheless, the timing for sub-20 nm node ~2013 is currently being targeted.[28] Typical applications have been regular lines and spaces as well as arrays of closely packed holes or cylinders.[29] However, random, aperiodic patterns may also be generated using carefully defined guiding patterns.[30]

The line edge roughness in block copolymer patterns is strongly dependent on the interface tension between the two phases, which in turn, depends on the Flory "chi" (χ) parameter.[31] A higher value of χ is preferred for reduced roughness; the interfacial width between domains is equal to 2a(6χ)−1/2, where a is the statistical polymer chain length.[32] Moreover, χN>>10 is required for sufficient phase segregation, where N is the degree of polymerization (number of monomer repeats in the chain). On the other hand, the half-pitch is equal to 2(3/π2)1/3aN2/3χ1/6. The fluctuations of the pattern widths are actually only weakly (square root) dependent on the logarithm of the half-pitch, so they become more significant relative to smaller half-pitches.

Beyond Double Patterning

Feature quadrupling with second spacer patterning: 1st spacer deposition; 1st spacer etch; 1st and 2nd support material etch; 2nd spacer deposition; 2nd spacer etch; 2nd support material etch

The extrapolation of double patterning to multiple patterning has been contemplated, but the issue of cost control is still on the minds of many. While the benefits of multiple patterning in terms of resolution, depth of focus and lithographic defect sensitivity are understood, there is added burden to control the process budget increase and maintain good yield.

Beyond double (2X) patterning, the most frequently published multiple patterning methodology is the repeated spacer approach, which can be practiced in many forms.[33][34][35][36][37] A multilayer-on-topography spacer-type approach also offers some flexibility.[38] It is also possible to additively combine two or more of the above approaches. For example, a dual-tone photoresist with pitch-halved acid profile, plus dual-tone development that dissolves the highest and lowest acid concentrations, combined with a spacer process, would result in 8x pitch resolution enhancement,e.g., 40 nm half-pitch reduced to 5 nm half-pitch. Subsequently repeating the spacer process would give 16 x pitch resolution improvement, e.g., 40 nm half-pitch reduced to 2.5 nm half-pitch. The European LENS (Lithography Enhancement Towards Nano Scale) project[39] is targeted toward implementation of both double exposure (resist freezing) and spacer-based process, in principle enabling two ways of patterning for ~20 nm design rules with current lithography tools, already tailored for double patterning[40] or ~10 nm design rules in combination.[41] With successful dual-tone development of a dual-tone photoresist, 2.5 nm design rules can be imagined.

Intel used several spacer deposition/etch/clean steps to demonstrate spacers spaced apart by ~26 nm.[42] It represents a reduction of the original patterned pitch by a factor of ~1/4 and indicates that wavelength and optics no longer purely determine the lithographic resolution.

IMEC has indicated that in the event that EUV lithography is not ready, quadruple patterning (with tighter overlay specifications) would be used.[43]

At the 2010 Flash Memory Summit, it was projected that immersion lithography with multiple patterning would be used to scale NAND Flash to below 20 nm within a few years.[44]

2D layout considerations

Spacer-is-Dielectric (SID) self-aligned double patterning (SADP). The mandrel pattern (blue) defines the metal features supporting the dielectric spacer (not shown) locations and, indirectly, other metal locations (red). Hence, multiple cuts are eliminated. This technique may be repeated for self-aligned quadruple patterning (SAQP).

For 2D patterns the density increase is very dependent on the nature of the pattern. For instance, contact arrays have optimal packing density as rectangular arrays for double patterning but as hexagonal close packed arrays for triple patterning – achieving a close to 2 and 3 times area improvement respectively. Regular array layouts such as used for DRAM could use cross self-aligned spacer patterning.[45] For 2D layouts double patterning compliance errors occur when there are odd cycles of minimum spaces. This can be resolved by relaxing one of these spaces to a distance where both features can be patterned in the same imaging step. Triple patterning is compliant with odd cycles but in turn is non-compliant for two facing pairs of line-ends where the corner to corner space is below the single patterning distance. This in turn is compliant under quadruple patterning. The improvement in density with the use of multiple patterning schemes is thus highly dependent on the pattern. Often simple redesigns or relaxation of dimensions in one direction can avoid the expense of going to more complex and expensive multiple patterning processes.[46]

LELELE triple patterning. For minimum metal pitches of ~25-37 nm, triple patterning is suitable with one-dimensional based designs. Each color represents a separate exposure.

Below 40 nm half-pitch, the continued use of 193 nm immersion lithography entails an increasing number of exposures, even for regular array patterns. Only purely one-dimensional line patterns will not need to increase the number of exposures. However, the number of exposures for regularly arranged two-dimensional layouts can be minimized. In fact, as long as the number of exposures is not doubled in advancing to the next node, as density is doubled, additional exposures do not pose a prohibitive cost penalty.

Spacer-Is-Dielectric (SID)

In the original spacer-based technique, the spacers defined conducting features which needed to be cut to avoid forming loops. In the spacer-is-dielectric (SID) approach, the spacers define dielectric spaces between conducting features, and so no longer need cuts. Instead the mandrel definition becomes more strategic in the layout, and there is no longer a preference for 1D line-like features. The SID approach has gained popularity due to its flexibility with minimal additional mask exposures.[47] The anti-spacer double patterning approach described above naturally fits the SID approach since an additional layer is deposited after the spacer before its removal.

2D array patterning by SID SADP. Here the spacers on the sidewall of the blue features as the mandrels define the additional red features.

Triple patterning

Synopsys has begun consideration of triple patterning decomposition of layers which are less easy to split into two patterns, such as contact layers.[48] While only increasing the number of processing steps by 50% (compared to 100% for the insertion of double patterning), triple patterning would enable 16 nm node patterning on a 45 nm node lithography tool. Likewise, quadruple patterning would enable 11 nm node patterning on the same 45 nm node lithography tool, with only 33% additional steps over triple patterning.

Sidewall profile modulation

The Sidewall Profile Inclination Modulation Mask (SPIMM) technique[49][50] was proposed in 2013 as a means to reduce the number of exposures for spacer-defined or possibly DSA-defined double patterning or multiple patterning even for arbitrary, non-arrayed patterns. A dose gradient, such as from a narrowed space adjacent a feature sidewall, is transferred to form a specific sidewall profile, which allows the deposited spacer patterning to be interrupted locally. A developable BARC process[51][52] is a suitable opportunity for this approach, due to its reduced exposure contrast.

Implementations

Due to its rather straightforward application, without the need to change the infrastructure, multiple patterning is not expected to encounter any insurmountable technical or commercialization barriers. Despite the cost and throughput concerns, it has recently received more attention and interest, mainly due to delays in next-generation lithography techniques such as EUVL and nanoimprint lithography.

Self-aligned via dual-damascene patterning.

Multiple patterning can also exploit high-bias processes (for example, photoresist trimming to reduce linewidth, or photoresist reflow to reduce trench width) to substantially eliminate defects sized at around 2x the design pitch or smaller. This is a significant advantage over increasing lithography tool resolution, which exposes the wafer to more defects at the design rule or even smaller.

Self-aligned contact/via patterning

Self-aligned contact and via patterning is an established method for patterning multiple contacts or vias from a single lithographic feature. It makes use of the intersection of an enlarged feature resist mask and underlying trenches which are surrounded by a pre-patterned hardmask layer. This technique is used in DRAM cells[53] as well as advanced node logic.

Merged hole separation by etch shrink

Separation of merged holes by etch shrink. Two merged contacts or vias after lithography may be separated following etch.
Hole shrink for sub-10nm node with SAQP. At sub-10nm logic node, the total number of layer mask exposures may be reduced by using hole etch shrink to resolve 6x nm pitch.

Tokyo Electron Ltd (TEL) was able to resolve two merged contact holes by applying an etch shrink.[54] 31-32 nm contact half-pitch was achieved through this method.[55]

2D SID Spacer Patterning

2D array patterning by SID.Left: original mandrel pattern. Center: deposition of spacer (orange) and fill material (green). Right: removal of spacer material and rounding of fill material to double feature density. The sequence may be repeated to re-double the density.

The use of SID may be applied to 2D arrays, by iteratively adding features equidistant from the previously present features, doubling the density with each iteration.[56]

Chip stacking of multiple dies

Stacking of multiple dies, e.g., DRAM in 3D-ICs,[57] requires patterning each die sequentially, as well as multiple instances of through-silicon via patterning.

EUV and Electron-beam lithography

At the 2013 EUVL Workshop, it was concluded that even EUV would require double patterning and DSA for continued scaling below 10 nm, extending into multiple patterning for 450 mm usage[58]

As mentioned previously, electron-beam lithography is inherently a multiple exposure technique. However, even electron beam lithography and EUV would eventually require at least two interleaved exposures (due to secondary electron scattering), for instance, in the fabrication of 15 nm half-pitch X-ray zone plates.[59] In fact, double patterning may not even be sufficient for sub-12 nm half-pitch, even with electron beam lithography.[60][61] In that case, multiple patterning would be necessary.

Tela Innovations

Tela Innovations, a startup founded in 2005 which has recently garnered significant support and funding, specializes in converting arbitrary layouts into array-like features suitable for double patterning.[62] Tela Innovations achieves this by using gridded layouts.

Intel

Intel has been using double patterning in its 45 nm as well as its 65 nm technology.[63][64] Double patterning is used to square off the ends of the transistor gates. The first mask pattern consists of the gate lines linked at the end. The second mask is a line cutter that separates these into separate gates, using a second photoresist coating.[65] The extra steps for the 45 nm double patterning compared to 65 nm are necessary due to the use of dry instead of immersion lithography.

In September 2009, Intel disclosed that for its 15 nm process, EUV did not appear to be ready in timely fashion.[66] Hence, Intel is preparing to extend 193 nm immersion lithography with double and possibly triple patterning to 15 nm.[67]

For its 11 nm logic node (20-22 nm half-pitch), Intel expects to be able to use quintuple exposure[68] with 193 nm lithography, where one of the exposures is used with spacer patterning for a further pitch division. The remaining four exposures are for cutting the pitch-divided lines. Even with a next-generation lithography like EUVL or maskless direct-write electron-beam lithography, a second exposure is still required for cutting. Referenced to its 32 nm node technology, the density is expected to be enhanced about 8x (three generations of doubling density), but the cost is less than 6x (5 exposures, with one round of spacer patterning).

Intel revealed in 2014 that it is using triple patterning for some layers in its 14 nm node.[69]

Texas Instruments

At the 2010 Sematech Litho Forum, it was recommended by TI that for the 60 nm routed pitch layers, corresponding to the 22/20 nm node, double patterning "is the only economically feasible solution." Double and triple patterning was considered cost effective for dies with a routed pitch of about 40 nm.[70] For the 14 nm node, triple patterning will be required for the gate, contact, and metal 1 layers. It was claimed that triple patterning at the 44 nm pitch provided a 25% better cost reduction.

NAND Flash Memory Makers

In 2010, IM Flash began producing 20.5 nm NAND Flash, with the combination of 193 nm immersion lithography and double patterning.[71]

Similar to the multiple patterning approach described for Intel's 11 nm process, in actual practice, NAND Flash memory array patterning using the spacer approach would use 3 or more mask exposures.[72] The first mask patterns the array core by defining the spacers, while a second mask is used to crop or trim the spacers to form individual lines. Lastly, additional masks or multiple patterning would be used to pattern peripheral connections, e.g., pads.[73] Three, four, and five masks are required in total for self-aligned double patterning (SADP), self-aligned quadruple patterning (SAQP), and self-aligned octuple patterning (SAOP), respectively.[74] As a result, Flash memory patterning can generally be considered multiple patterning, not just a spacer-based double-patterning technique.

At IEDM 2011, Hynix reported on a 15 nm NAND process, making use of, among other things, quadruple spacer patterning.[75] Sub-20 nm NAND flash is fabricated with use of self-aligned quadruple patterning.[76] Micron has already been producing 16 nm NAND Flash with this technique[77] since 2013.[78]

Industrial adoption

Samsung 14 nm

[79] In a press release, Samsung also announced that its 14 nm FinFET development relied on working with partner Mentor to "deal with the complexities of multi-patterning lithography."[80]

Multiple masks for cutting

The cost of multiple mask exposures has always been a major industrial concern. As more and more masks are added, the cost reduction from one technology node to the next would begin to dwindle. On the other hand, low-k1 approaches already can involve the use of double exposure for non-regular 2D patterns,[81][82] but the industry seems to have bypassed this concern of loss of cost reduction.

Multiple patterning with existing 193 nm immersion lithography has always been the backup lithography technique in the event of EUV being not ready, provided the costs are not prohibitive. Even with EUV availability, it is also likely it would be applied along with 193 nm immersion for a critical layer. For example, up to 4 cut exposures by 193 nm immersion lithography may be replaced by one or two EUV exposures.

A key consideration for the implementation of multiple patterning using multiple masks is the tool throughput. Also relevant is the number of tools available for exposing the multiple patterning mask set. Current 193 nm immersion scanner throughput is capable of 250 WPH.[83][84] EUV has achieved about 42 WPH or 1000 wafers per day,[85] while 193 nm immersion including multiple patterning has shown over 3000 wafers per day.[86] Thus, extending multiple patterning is still a planned option for future nodes.

A recent cost study by IMEC[87] showed that SAQP/LE3 (self-aligned quadruple patterning with 3 cut/via exposures) with a 193 nm immersion tool throughput of 150 WPH would be same cost as 55 WPH EUV single patterning for the 7 nm node. The current immersion and EUV throughputs of 250 WPH and 42 WPH, respectively, therefore make multiple patterning currently the likely approach to be used down to 7 nm, and even beyond with EUV.

Besides the number of masks needed per layer, the total number of masks used for all the layers, or at least the critical layers, must be considered. ASML projects that the number of lithography steps would continue increasing even with the introduction of EUV.[88] The number of masks may be reduced with the use of DSA due to the provision of gridded cuts all at once within a printed area, which can then be selected with a final exposure.[89][90] Alternatively, the cut pattern itself may be generated as a DSA step.[91]

In 2015, IMEC revealed that 7 nm SRAM scaling can be continued with only two masks (instead of the five originally projected) being added with 193 nm immersion lithography with SADP (i.e., a total of three masks) for the local interconnect layer and with comparable cell area to EUV single patterning (~50% of 10 nm node).[92] The current EUV tools in the field have a numerical aperture (NA) of 0.33 and a resolution of 19 nm half-pitch,[93] which is insufficient for the 7 nm node (16 nm half-pitch).[94] However, the use of a total of three 193 nm immersion lithography masks may be carried over from 10 nm node logic metal layers to 7 nm node logic metal layers.[95]

Complementary exposures

Cut exposures may also be reduced by the method of complementary exposures.[96] With this method, several exposures expected for self-aligned quadruple patterning may be reduced to two or three.

Spacer-is-dielectric multiple patterning

Spacer trimming (top view). Left: Spacer (green) is deposited on mandrel (blue) and etched, leaving only the portion covering the sidewall. Center: Mandrel is removed. Right: Spacer is trimmed by etching to smaller width.
Self-aligned multiple patterning. A possible implementation of self-aligned multiple patterning without the use of cut exposures beyond double patterning. The blue features are the mandrels, on which the green spacers are patterned. Spacer cuts (before 2nd spacer patterning) are indicated by the light orange features.

Spacer-is-dielectric (SID) is a style of SADP which allows additional flexibility of design down to sub-10 nm nodes.[97][98] The flexibility comes from having the spacer not define the metallic features, since they are normally loops which need to be cut. By trimming the spacers and having the spacer define dielectric locations, separate line cutting exposures can be minimized, even possibly eliminated. Additional resolution is achieved by conversion into the SAQP approach by having the metal-patterning mandrels themselves defined by SADP.[99] A double-patterned metal pattern layout can turn into a quadruple-patterned layout, without additional masks, due to the final spacer loop being dielectric. In this way, the cost effectiveness of multiple patterning even for flexible 2D layouts is improved with the use of (up to) two masks for SAQP down to ~11-12 nm half-pitch. EUV thus far has not shown 2D flexibility for 16 nm half-pitch (7 nm node)[100][101] and would therefore require the same number of mask exposures as 193 nm immersion in this case. SAQP may be extended to SAOP by applying an additional spacer.[102] The benefit of SID in extending multiple patterning may be generalized, noting that a 2N-patterned metal pattern layout can turn into a 4N-patterned layout, without additional masks, due to the final spacer loop being dielectric.

Intel 10 nm

Intel reported in 2013 that it would be using pitch quartering, i.e., SAQP, for its 10 nm node (15-22 nm half-pitch), instead of EUV.[103] However, Intel's 10 nm introduction has apparently been delayed from 2015 to 2017.[104]

Establishment of triple patterning for 10 nm

Triple patterning is already established for 14 nm and 10 nm nodes.[105] Any self-aligned multiple patterning followed by two trimming or cutting exposures (SAQP-LELE) for 7 nm and 5 nm nodes, effectively extends triple patterning to these nodes. Fewer cuts, e.g., enabled by SID or self-aligned triple patterning (SATP), would offer even more cost-effective scalability.

Example of 2-mask SATP for 7nm node. 2 masks (mandrel+cut) are sufficient for a range of dense 15-16 nm half-pitch interconnect layouts such as for 7nm node. Note that overlay of the cut still plays a significant role in the final pattern.

7 nm logic node and beyond: from double to quadruple patterning

1D pattern SAQP using SID. The blue features are the directly patterned mandrels. The red features are filled in after first spacer patterning. The green features are filled in after second spacer patterning using the trimmed red and blue features as mandrels.

Quadruple patterning is expected for the 7 nm node. In the earliest sense, it implied four separate exposures. However, currently, self-aligned quadruple patterning is favored. Such an approach could entail two rounds of spacer deposition and etchback, followed by removal of the spacer supports (mandrels). On the other hand, a ~22 nm minimum pitch (corresponding to 5 nm logic node) contact layer can be patterned by triple patterning with a 1D-type layout and using the hole etch shrink technique mentioned above.[106]

The maturity of self-aligned double patterning, including the spacer-is-dielectric approach, could make the transition to self-aligned quadruple patterning smoother, as the mandrels defined by standard SADP (including any necessary trim mask(s)) can be applied to SAQP without additional cutting if the pitch-quartering spacer is patterned for dielectric features.

EUV requiring double patterning

In November 2014, ASML revealed that the 7 nm node (16 nm half-pitch), the first node for possible EUV use, would still require double patterning, to an even greater extent than immersion lithography did at 20 nm node.[107] This double patterning can be of the EUV+EUV type, but is more likely to be the complementary combination of 193i+EUV, due to the lower cost of 193i compared to EUV. On the other hand, 193i+193i is already sufficient for some triple patterning schemes down to 15 nm half-pitch.[108]

High NA (>0.5) EUV is expected to be needed for the 5 nm node (11 nm half-pitch). Such tools would change the field size from the conventional 26 mm x 33 mm to 26 mm x 16.5 mm, as the mask demagnification in the direction corresponding to 16.5 mm field width is doubled from 4x to 8x.[109] Hence, the EUV mask needs to have two exposures (one for each half of a conventional 4x 26 mm x 33 mm field) to match the single exposure field size used by immersion lithography tools.[110]

References

  1. C. Fonseca et al., Proc. SPIE vol. 7274, 72740I (2009).
  2. P. De Bisschop et al., Proc. SPIE 7973, 79730B (2011).
  3. S-T. Chen et al., IITC/MAM 2011.
  4. Y. Du et al., DAC 2013.
  5. SATP: Y. Chen et al., Proc. SPIE 7973, 79731P (2011).
  6. SAQP: F. Nakajima et al., Proc. SPIE 9427, 942708 (2015).
  7. EUV: E. van Setten et al., Proc. SPIE 9661, 96610G (2015).
  8. SADP+SATP: Y. Chen et al., Proc. SPIE 8683, 86830Z (2013).
  9. Pattern splitting: R. Nakayama et al., Proc. SPIE 9658,M96580A (2015).
  10. 2 High-NA EUV half-fields: J. van Schoot et al., Proc. SPIE 9635, 963503 (2015).
  11. 3 EUV illuminations for telecentricity asymmetries: D. Civay et al., Proc. SPIE 9422, 94220Z (2015).
  12. K. Seki et al., Proc. SPIE 9658, 96580G (2015).
  13. U. S. Patent 6114082.
  14. S. Song et al., Polymers for Adv. Tech. 9, 326-333 (1998).
  15. X. Gu et al., J. Photopoly. Sci. & Tech. 22, 773-781 (2009).
  16. G. L. Hallett-Tapley et al., J. Mater. Chem C., 1, 2657 (2013).
  17. U.S. Patent 8283111, assigned to Tokyo Electron Limited.
  18. X. Gu et al., Proc. SPIE vol. 7972, 79720F (2011).
  19. M. Shirakawa et al., Proc. SPIE vol. 9425, 942509 (2015).
  20. U.S. Patent 9070557, assigned to SMIC
  21. X. Hua et al., J. Vac. Sci. Tech. B, vol. 24, pp. 1850-1858 (2006).
  22. Y-K Choi et al., J. Phys. Chem. B, vol. 107, pp. 3340-3343 (2003).
  23. See for example, US Patent 5308741.
  24. Brion implements ASML' DDL Technology
  25. A. Tritchkov, S. Jeong, and C. Kenyon, "Lithography Enabling for the 65 nm node gate layer patterning with Alternating PSM," Proc. SPIE vol. 5754, pp.215-225 (2005).
  26. IMEC double patterning
  27. S. H. Park et al., Soft Matter, 6, 120-125 (2010).
  28. Chipmakers Mull Plans to Insert DSA at 14 nm
  29. C. G. Hardy and C. Tang, J. Polymer Sci. Pt. B: Polymer Phys., vol. 51, pp. 2-15 (2013).
  30. L-W. Chang et al.IEDM 2010 Technical Digest, 752-755 (2010).
  31. NIST 2011 report on LER in PS-b-PMMA DSA
  32. A. N. Semenov, Macromolecules 26, 6617 (1993).
  33. A. Carlson and T-J. K. Liu, Proc. SPIE 6924, 69240B (2008).
  34. B. Degroote et al., Microelec. Eng., 84, 609-618 (2007).
  35. Y-K. Choi et al., Proc. SPIE 5220, 10 (2003).
  36. US 6759180
  37. US 5328810
  38. US 7919413
  39. P. Cantu et al., Proc. SPIE 7640, 764022 (2010).
  40. T. Castenmiller et al., Proc. SPIE 7640, 76401N (2010).
  41. EETimes: IMFT 25-nm MLC NAND: technology scaling barriers broken, 3/22/2010
  42. SEMICON West - Lithography Challenges and Solutions
  43. EETimes "EUV litho keeps progressing, keeps slipping", 6/9/2010.
  44. G. Tressler (IBM), 2010 Flash Memory Summit Archived July 11, 2011 at the Wayback Machine
  45. US Patent 9012330, assigned to Nanya Technology.
  46. B-S Seo et al, "Double Patterning addressing Imaging challenges for near and sub k1=0.25 node layouts", Proc. SPIE, Volume 7379, 73791N (2009).
  47. Y. Du et al., "Spacer-Is-Dielectric-Compliant Detailed Routing for Self-Aligned Double Patterning Lithography", DAC 2013.
  48. C. Cork et al., Proc. SPIE, vol. 7028, 702839 (2008).
  49. F. T. Chen et al., Proc. SPIE vol. 8683, 868311 (2013).
  50. F. T. Chen et al., J. Micro/Nanolith. MEMS MOEMS 13(1), 011008 (Jan–Mar 2014).
  51. J. Lowes et al., Proc. SPIE 7639, 76390K (2010).
  52. T. Katayama et al., Proc. SPIE 5377, 968–973 (2004).
  53. US Patent 6165880, assigned to TSMC.
  54. K. Oyama et al., Proc. SPIE 9051, 90510V (2014).
  55. M. C. Smayling et al., Proc. SPIE 9426, 94261U (2015).
  56. B. Mebarki et al., U. S. Patent 8,084,310, assigned to Applied Materials.
  57. Elpida stacks four DDR3 DRAMs
  58. http://www.euvlitho.com/2013/2013%20EUVL%20Workshop%20Summary.pdf 2013 EUVL Workshop Summary
  59. E. Anderson and W. Chao, Double exposure makes high-resolution diffractive optics, SPIE Newsroom, 2007.
  60. W. Chao et al., JVST B 27, 2606-2611 (2009).
  61. W. Chao et al., Proc. SPIE vol. 6883, 688309 (2008).
  62. M. D. Levenson, "SPIE: Tela Innovations lays it all out straight", Microlithography World, Feb. 28 2008.
  63. D. Vogler, Solid State Technology, Intel product launch event yields more insight into its manufacturing strategy
  64. Intel Technology Journal June 17, 2008
  65. Intel 45 nm process at IEDM
  66. Semiconductor International 9/14/2009 Intel Ramping 32 nm Manufacturing in Oregon
  67. EETimes 9/22/2009 Otellini: Intel to ship more SOCs than PC CPUs -- someday
  68. Intel to extend ArF lithography to 11 nm
  69. Intel Opens Door on 7 nm, Foundry
  70. Focus Shifts to Affordability.
  71. 25 nm NAND announcement
  72. C. Bencher, Nanochip Technology Journal, 2007.
  73. U.S. Patent 7808053.
  74. J. Yu et al., Proc. SPIE 9052, 90521P (2014).
  75. IEDM 2011 Press Tip Sheet
  76. Sidewall spacer quadruple patterning for 15nm half-pitch.
  77. Complementary Lithography at Insertion and Beyond
  78. http://www.digitimes.com/news/a20121224PR200.html Samsung delivers 14nm FinFET logic process and design infrastructure
  79. http://www.chipworks.com/en/technical-competitive-analysis/resources/blog/more-hkmg-hits-the-market-gate-first-and-gate-last/ Chipworks coverage of TSMC 28 nm HKMG process
  80. http://www.tsmc.com/tsmcdotcom/PRListingNewsAction#do?action=detail&&newsid=2423&&newsdate=2007/12/11 TSMC 32 nm SRAM announcement
  81. ASML TWINSCAN NXT:1970Ci
  82. Nikon NSR-S630D
  83. TSMC runs 1022 wafers per day with EUV
  84. A. Mallik et al., Proc. SPIE 9048, 90481R (2014).
  85. M. van den Brink, ASML Investor Day, Nov. 24, 2014.
  86. Synopsis Presentation at Semicon West 2013
  87. M. C. Smayling et al., Proc. SPIE 8683, 868305 (2013).
  88. Z. Xiao et al., Proc. SPIE 8880, 888017-3 (2013).
  89. S. S. Sakhare et al., SPIE Advanced Lithography 2015, Paper 9427-24 Abstract.
  90. J. van School et al., Proc. SPIE vol. 9422, 94221F (2015).
  91. L. Liebmann, A. Chu, and P. Gutwin, Proc. SPIE vol. 9427, 942702 (2015).
  92. W. Gillijns et al., Proc. SPIE vol. 9427, 942709 (2015).
  93. F. T. Chen et al., Proc. SPIE vol. 8326, 83262L (2012).
  94. Y. Ban and D. Z. Pan, J. Micro/Nanolith. MEMS MOEMS 14, 011004 (2015).
  95. C. Kodama et al., IEEE Trans. CAD Integ. Circ. and Syst., vol. 34, 753 (2015).
  96. Y. Chen, Q. Cheng and W. Kang, Proc. SPIE 8328, 83280O (2012).
  97. E. van Setten et al., Proc. SPIE vol. 9231, 923108 (2014).
  98. A. Pirati et al., Proc. SPIE vol. 9422, 94221P (2015).
  99. J. Yu et al., Proc. SPIE vol. 9052, 90521P (2014).
  100. Intel pushes EUV beyond 10 nm
  101. Intel delays 10 nm to 2017
  102. Pushing Multiple Patterning in Sub-10nm: Are We Ready?, DAC 2015
  103. R. Nakayama et al., Proc. SPIE vol. 9658, 96580A (2015).
  104. ASML - Many ways to shrink (Nov 2014)
  105. Y. Chen et al., Proc. SPIE 7973, 79731P (2011).
  106. J. van Schoot et al., EUV lithography scanner for sub 9 nm resolution, 29 October 2014, International Symposium on EUVL, Washington.
  107. J. P. Kuijten et al., Proc. SPIE 4000, 843 (2000).
This article is issued from Wikipedia - version of the Monday, February 15, 2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.