RCA clean

The RCA clean is a standard set of wafer cleaning steps which need to be performed before high-temperature processing steps (oxidation, diffusion, CVD) of silicon wafers in semiconductor manufacturing.

Werner Kern developed the basic procedure in 1965 while working for RCA, the Radio Corporation of America.[1][2][3] It involves the following chemical processes performed in sequence:

  1. Removal of the organic contaminants (organic clean + particle clean)
  2. Removal of thin oxide layer (oxide strip, optional)
  3. Removal of ionic contamination (ionic clean)

Standard recipe

The wafers are prepared by soaking them in DI water. If they are grossly contaminated (visible residues), they may require a preliminary cleanup in Piranha solution. The steps below are carried out by immersing the wafers in solutions prepared in fused silica or fused quartz vessels (borosilicate glassware must not be used, as its impurities leach out and cause contamination). The wafers are thoroughly rinsed with deionized water between each step.[2]

First step (SC-1): organic clean + particle clean

The first step (called SC-1, where SC stands for Standard Clean) is performed with a solution of[2]

at 75 or 80 °C[1] typically for 10 minutes. This base-peroxide mixture removes organic residues and is also very effective in removing particles from the surface.[4] This treatment results in the formation of a thin silicon dioxide layer (about 10 Angstrom) on the silicon surface, along with a certain degree of metallic contamination (notably Iron) that shall be removed in subsequent steps.

Second step (optional): oxide strip

The optional second step (for bare silicon wafers) is a short immersion in a 1:100 or 1:50 solution of HF + H2O at 25 °C for about fifteen seconds, in order to remove the thin oxide layer and some fraction of ionic contaminants. If this step is performed without ultra high purity materials, it can lead to recontamination since the bare silicon surface is very reactive.[2]

Third step (SC-2): ionic clean

The third and last step (called SC-2) is performed with a solution of[2]

at 75 or 80 °C, typically for 10 minutes. This treatment effectively removes the remaining traces of metallic (ionic) contaminants, some of which were introduced in the SC-1 cleaning step.[1] It also leaves a thin passivating layer on the wafer surface, which protects the surface from subsequent contamination (bare exposed silicon is contaminated immediately).[2]

Fourth step: rinsing and drying

Provided the RCA clean is performed with high-purity chemicals and clean glassware, it results in a very clean wafer surface while the wafer is still submersed in water. However, if the rinsing and drying steps are not performed correctly then the surface becomes easily recontaminated with organics and particulates floating on the surface of water. A variety of procedures can be used to rinse and dry the wafer effectively.[2]

Additions

In his book, "Handbook of Semiconductor Wafer Cleaning Technology",[5] Werner Kern writes that the first step in the ex situ cleaning process is ultrasonically degrease in trichloroethylene, acetone and methanol.

RCA cleaning (also known as SC1/SC2 etching) submits silicon wafers to oxidation by NH3:H2O2:H2O mixtures, oxide removal in diluted HF, further oxidation by HCl:H2O2:H2O mixtures, and final etching in diluted HF.

See also

Notes and references

  1. 1.0 1.1 1.2 RCA Clean, materials at Colorado School of Mines
  2. 2.0 2.1 2.2 2.3 2.4 2.5 2.6 Kern, W. (1990). "The Evolution of Silicon Wafer Cleaning Technology". Journal of the Electrochemical Society 137 (6): 1887–1892. doi:10.1149/1.2086825.
  3. W. Kern and D. A. Puotinen: RCA Rev. 31 (1970) 187.
  4. Itano, M.; Kern, F. W.; Miyashita, M.; Ohmi, T. (1993). "Particle removal from silicon wafer surface in wet cleaning process". IEEE Transactions on Semiconductor Manufacturing 6 (3): 258. doi:10.1109/66.238174.
  5. William Andrew Publishers, Applied Science Technology

External links