Prison Oval

From Wikipedia, the free encyclopedia
Prison Oval
Prison Oval
Location Spanish Town, Jamaica
Coordinates 17°59′33″N 76°57′02″W / 17.992555°N 76.950554°W / 17.992555; -76.950554Coordinates: 17°59′33″N 76°57′02″W / 17.992555°N 76.950554°W / 17.992555; -76.950554
Capacity 2000
Field dimensions Approximately 120 metres (390 ft) x 100 metres (330 ft)[1]

Prison Oval is a multi-use stadium in Spanish Town, Jamaica, currently used mostly for football matches. It serves as the home ground of Rivoli United FC. The stadium holds 2,000 people.

The name 'Prison Oval' is attributed to it being on the same property as the maximum security Saint Catherine Prison. Some prisoners are able to watch matches and other events from the 'comfort' of their cells.

It is featured in the Barrington Levy song "Prison Oval Rock".[2]

External links

References

  1. Dimensions obtained using the Wikimapia measuring tool.
  2. Listen to Prison Oval Rock, Barrington Levy, Last FM/YouTube, 2008-05-02.
This article is issued from Wikipedia. The text is available under the Creative Commons Attribution/Share Alike; additional terms may apply for the media files.