Value change dump

From Wikipedia, the free encyclopedia

Value Change Dump is an ASCII-based format for dumpfiles generated by EDA logic simulation tools. The standard, four-value VCD format was defined along with the Verilog hardware description language by the IEEE Standard 1364-1995 in 1995. An Extended VCD format defined six years later in the IEEE Standard 1364-2001 supports the logging of signal strength and directionality. The simple and yet compact structure of the VCD format has allowed its use to become ubiquitous and to spread into non-Verilog tools such as the VHDL simulator GHDL and various kernel tracers.

Contents

[edit] Structure

The VCD file comprises a header section with date, simulator, and timescale information; a variable definition section; and a value change section, in that order. The sections are not explicitly delineated within the file, but are identified by the inclusion of keywords belonging to each respective section.

[edit] Header Section

The header section of the VCD file includes a timestamp, a simulator version number, and a timescale, which maps the time increments listed in the value change section to simulation time units.

[edit] Variable Definition Section

The variable definition section of the VCD file contains scope information as well as lists of signals instantiated in a given scope. Each variable is assigned an arbitrary, compact ASCII identifier for use in the value change section. The scope type definitions closely follow Verilog concepts, and include the types module, task, function, and fork.

[edit] Value Change Section

The value change section contains a series of time-ordered value changes for the signals in a given simulation model.

[edit] Syntax

VCD keywords are marked by a leading $. In general every keyword starts a section which is terminated by an $end keyword.

Example:

$timescale 1 ns $end

All VCD tokens are delineated by whitespace.

[edit] See also

[edit] External links