Extreme ultraviolet lithography

From Wikipedia, the free encyclopedia

Image formation mechanism in EUV lithography. Top: EUV multilayer and absorber (purple) constituting mask pattern for imaging a line. Bottom: EUV radiation (red) reflected from  the mask pattern is absorbed in the resist (amber) and substrate (brown), producing photoelectrons and secondary electrons (blue). These electrons increase the extent of chemical reactions in the resist. A secondary electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary electron exposure results in loss of resolution, observable line edge roughness and linewidth variation.
Image formation mechanism in EUV lithography. Top: EUV multilayer and absorber (purple) constituting mask pattern for imaging a line. Bottom: EUV radiation (red) reflected from the mask pattern is absorbed in the resist (amber) and substrate (brown), producing photoelectrons and secondary electrons (blue). These electrons increase the extent of chemical reactions in the resist. A secondary electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary electron exposure results in loss of resolution, observable line edge roughness and linewidth variation.

Extreme ultraviolet lithography (also known as EUV or EUVL) is a next-generation lithography technology using the 13.5 nm wavelength.

Contents

[edit] EUVL optics

EUVL is a significant departure from the deep ultraviolet lithography used today. All matter absorbs EUV radiation. Hence, EUV lithography needs to take place in a vacuum. All the optical elements, including the photomask, must make use of defect-free Mo/Si multilayers which act to reflect light by means of interlayer interference; any one of these mirrors will absorb around 30% of the incident light. This limitation can be avoided in maskless interference lithography systems. However, the latter tools are restricted to producing periodic patterns only.

The pre-production EUVL systems built to date contain at least two condenser multilayer mirrors, six projection multilayer mirrors, and a multilayer object (mask)[1]. Since the optics already absorbs 96% of the available EUV light, the ideal EUV source will need to be sufficiently bright. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is therefore vulnerable to damage from the high-energy ions[2] [3] and other debris[4]. This damage associated with the high-energy process of generating EUV radiation has precluded the successful implementation of practical EUV light sources for lithography.

The wafer throughput of an EUVL exposure tool is a critical metric for manufacturing capacity. Given that EUV is a technology requiring high vacuum, the throughput is limited mainly by the transfer of wafers into and out of the tool chamber, to a few wafers per hour.[5]

Another aspect of the pre-production EUVL tools is the off-axis illumination (at an angle of 6 degrees)[6] on a multilayer mask. The resulting asymmetry in the diffraction pattern causes shadowing effects which degrade the pattern fidelity.[7]

EUVL's shorter wavelength also increases flare, resulting in increased line width roughness[8].

[edit] EUV exposure of photoresist

When an EUV photon is absorbed, photoelectrons and secondary electrons are generated by ionization, much like what happens when X-rays or electron beams are absorbed by matter[9]. These secondary electrons have energies of a few to tens of eV and travel tens of nanometers inside photoresist (see below) before initiating the desired chemical reaction. A contributing factor for this rather large distance is the fact that polymers have significant amounts of free volume[10]. In a recent actual EUV print test,[11] it was found 30 nm spaces could not be resolved, even though the optical resolution and the photoresist composition were not the limiting factor.

In particular, for photoresists utilizing chemical amplification for higher throughput:[12][13]

e- + acid generator -> anion -> dissociated anion products

This reaction occurs after the electron has essentially slowed to a halt, since it is easiest to capture at that point.

EUV photoresist images often require resist thicknesses roughly equal to the pitch[14]. This is not only due to EUV absorption causing less light to reach the bottom of the resist but also to forward scattering from the secondary electrons (similar to low-energy electron beam lithography).

Since the photon absorption depth exceeds the electron escape depth, as the released electrons eventually slow down,they dissipate their energy ultimately as heat.

An EUV dose of 1 mJ/cm2 generates an equivalent photoelectron dose of 10.9 μC/cm2.

The use of higher doses and/or reduced resist thicknesses to produce smaller features only results in increased irradiation of the layer underneath the photoresist. This adds another significant source of photoelectrons and secondary electrons which effectively reduce the image contrast. In addition, there is increased possibility of ionizing radiation damage to the layers below.

[edit] EUVL Defects

EUVL phase defect. The dotted-line white square is the defect edge, while the dark region indicates where the intensity was reduced more than 10%, due to scattering by the 45 degree phase shift.
EUVL phase defect. The dotted-line white square is the defect edge, while the dark region indicates where the intensity was reduced more than 10%, due to scattering by the 45 degree phase shift.

EUVL faces specific defect issues analogous to those being encountered by immersion lithography. Whereas the immersion-specific defects are due to unoptimized contact between the water and the photoresist, EUV-related defects are attributed to the inherently ionizing energy of EUV radiation. The first issue is positive charging, due to ejection of photoelectrons[15] freed from the top resist surface by the EUV radiation. This could lead to electrostatic discharge or particle contamination as well as the device damage mentioned above. A second issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions[16]. A third issue is etching of the resist by oxygen[17], argon or other ambient gases, which have been dissociated by the EUV radiation or the electrons generated by EUV. Ambient gases in the lithography chamber may be used for purging and contamination reduction. These gases are ionized by EUV radiation, leading to plasma generation in the vicinity of exposed surfaces, resulting in damage to the multilayer optics and inadvertent exposure of the sample[18].

Of course mask defects are also a known source of defects for EUVL. The mask defects comprise multilayer defects and defects buried under the multilayer as well as pattern defects. The buried defects are particularly insidious, and even 10 nm defects may be considered risky.[19] The phase shift caused by an undetected 3 nm mask substrate flatness variation is sufficient to produce a printable defect. The principle behind this is a quarter-wavelength deviation from the flat surface produces a half-wavelength optical path difference after reflection. The light that is reflected from the flat surface is 180 degrees out of phase with the light reflected from the quarter-wavelength deviation.[20] In fact, it has been shown that even a 1 nm deviation from flatness would lead to a substantial reduction (~20%) of the image intensity.[21] Like a lens, any defect which effectively produces a phase shift scatters light outside the defect region. The amount of light that is scattered can be calculated.

[edit] Unexpected Resolution Limits

Given that EUV is a significant reduction in wavelength compared to current lithography wavelengths, one would expect significantly better resolution. However, the resolution is ultimately determined by the interaction volume in the image recording medium, i.e., the photoresist. As noted above, the low energy electrons released by EUV could blur the original EUV image. In addition, there are statistical effects, especially for feature areas less than 1500 square nanometers.

[edit] Shot noise

The required dose sensitivity of 5 mJ/cm2 implies only several thousand EUV photons or so accumulate in such a small area. With the natural Poisson distribution due to the random arrival times of the photons, there is an expected natural dose variation of at least a few percent 3 sigma, making the exposure process fundamentally uncontrollable for features less than about 40 nm. Increasing the dose will reduce the shot noise, but will also increase the flare dose and generate more free electrons. The free electrons will spread out before slowing to a stop. Since the free electron density is lower than the initial photon density, the shot noise is always effectively larger than expected from just considering the EUV dose.

[edit] Proximity effect (secondary electrons)

In a classic experiment by Feder et al. at IBM,[22] an erbium layer on a PMMA resist layer was exposed to X-rays. The erbium layer absorbed the X-rays strongly, producing low energy secondary electrons. The X-rays which were not absorbed continued to penetrate into the PMMA, where they were only lightly absorbed. Upon removal of the erbium layer and subsequent PMMA development in solvent, the resist removal rate was found to be accelerated for the top 40 nm of the PMMA film, while it was much more gradual for the rest of the film. The accelerated rate was due to the secondary electron exposure, while the gradual rate was due to the X-ray absorption. This proved the secondary electron exposure range of 40 nm.

A more recent experiment was performed by Carter et al. at MIT and University of Wisconsin-Madison,[23] where the X-ray absorber generating the electrons was beneath the PMMA resist rather than on top of it. In this case, the accelerated dissolution of PMMA started approximately 50 nm above the substrate.

The significance of this secondary electron range is the appearance of a "proximity effect" for distances on the order of 50 nm or less. This causes the exposure tolerance to be reduced dramatically as feature sizes decrease below this range. Even though features can still print below this range, the resolution is not repeatable. The difference in experimentally determined ranges above (40 nm vs. 50 nm) is already an indication of the lack of repeatability. The secondary electron exposure can also be thought of as a blur effect. The blur is generally not included in optical-only image simulations.

[edit] Photoelectron trajectories

Kotera et al. performed EUV photoelectron trajectory simulations, showing their range to be 30 nm.[24] The spread of the energy deposition by these electrons can account for the observed line edge roughness. The top layer exposure is effectively less because electrons emitted from the surface never come back.

[edit] EUVL Demonstrations

In 1996, a collaboration between Sandia National Laboratories, University of California at Berkeley, and Lucent Technologies, produced NMOS transistors with gate lengths from 75 nm to 180 nm. The gate lengths were defined by EUV lithography[25]. The device saturation current at 130 nm gate length was ~0.2 mA/um. A 100 nm gate device showed subthreshold swing of 90 mV/decade and saturated transconductance of 250 mS/mm. A commercial NMOS at the same design rule patterned by then-state-of-the-art DUV lithography[26] showed 0.94 mA/um saturation current and 860 mS/mm saturated transconductance. The subthreshold swing in this case was less than 90 mV/decade.

In 2008, a collaboration including IBM and AMD, based at the College of Nanoscale Science and Engineering (CNSE) in Albany, New York, used EUV lithography to pattern the first metal layer of a 45 nm node test chip. No specific details on device performance were given.[27] However, the lithographic performance details given still indicated much to be desired:[28]

  • CD uniformity: 6.6%
  • Overlay: 17.9 nm x, 15.6 nm y, possibly correctable to 6.7 nm x, 5.9 nm y
  • Power: 1 W at wafer (>200 W required for high volume), with a dose of 3.75 mJ/sq. cm.
  • Defects: 1/sq. cm.

Apparently, the CNSE EUV tool suffered from a well-known 16% flare problem. [29] Flare effects may be difficult to separate from the secondary electron effects discussed earlier.

[edit] EUVL Development: Forever Delayed?

EUVL has been the subject of ongoing research and development by many groups. The predicted optical resolution capability has been demonstrated. However, optical resolution is not the limiting factor for EUV. Given that it is still under development in key areas such as light source, photoresists, and defect inspection, and that other areas such as EUV interaction with matter require further study, it is unlikely to be implemented in manufacturing in time to displace 193 nm immersion lithography. Instead, EUV will continue to compete against other next-generation lithography techniques, including high-index immersion lithography, nanoimprint lithography and maskless lithography.

The difficulties of EUV stem mainly from the dramatically higher energy of the EUV photon (92 eV for EUV light vs. 6.4 eV for 193 nm light), which underlies the difficulty of damage-free generation and control of EUV light and confining the energy absorption within materials. It is also fundamentally impossible for EUVL with low resolution enhancement and single patterning to compete with the larger depth of focus from the more established approach of using the 193 nm wavelength with strong resolution enhancement and double patterning. In a compelling demonstration, Samsung was able to achieve 30 nm half-pitch with 193 nm lithography and self-aligned double patterning,[30] while it is still a struggle to achieve the same practical resolution in photoresist with EUV.[31][32] There is a growing realization that the resolution capabilities of the EUV wavelength are being countered by the effects of electrons released after absorption.[33] Flare, already a consideration even for 193 nm lithography, continues to increase with the use of EUVL, which becomes a significant line roughness issue for smaller lines. The sensitivity to phase defects has ironically been aggravated due to the reflection of a much smaller wavelength. Finally, the throughput of EUV lithography is ultimately limited by the time to transfer wafers into and out of vacuum.

[edit] References

  1. ^ F. T. Chen, Proc. SPIE 5037, pp. 347-357 (2003).
  2. ^ H. Komori et al., Proc. SPIE 5374, pp. 839-846 (2004).
  3. ^ B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102-109 (2002).
  4. ^ S. N. Srivastava et al., J. Appl. Phys. 102, 023301 (2007).
  5. ^ A. Brunton et al., Proc. SPIE 5448, pp. 681-692 (2004).
  6. ^ L. Peters, "Double Patterning Leads Race for 32 nm," Semiconductor International, October 18, 2007.
  7. ^ M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  8. ^ M. Chandhok et al., J. Vac. Sci. Tech B 22, 2966 (2004).
  9. ^ B. L . Henke et al., J. Appl. Phys. 48, pp. 1852-1866 (1977).
  10. ^ N. Shimizu and H. Sato, 1996 IEEE Annual Report - Conference on Electrical Insulation and Dielectric Phenomena, pp. 787-790 (1996)
  11. ^ Y. Ekinci et al., Microelectronic Engineering, vol. 84, pp. 700-704 (2007). Conference draft.
  12. ^ T. Kozawa et al., J. Vac. Sci. Tech. B 15, pp. 2582-2586 (1997).
  13. ^ T. Kozawa et al., J. Vac. Sci. Tech. B 22, pp. 3489-3492 (2004).
  14. ^ H. H. Solak et al., Microel. Eng. 67-68, pp. 56-62 (2003).
  15. ^ N. Koch et al., Thin Solid Films 391, pp. 81-87 (2001).
  16. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118-130 (2006).
  17. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 64-82 (2006).
  18. ^ M. H. L. van der Velden et al., J. Appl. Phys. 100, 073303 (2006).
  19. ^ M. Lam, Ph.D. dissertation, U. of California, Berkeley, sec. 7.3 (2005).
  20. ^ P. P. Naulleau et al., Optics Communications 200, pp. 27-34 (2001).
  21. ^ I.-Y. Kang et al., Jap. J. Appl. Phys. vol. 44, pp. 5724-5726 (2005).
  22. ^ R. Feder et al., J. Vac. Sci. Tech. 12, 1332 (1975).
  23. ^ D. J. D. Carter et al., J. Vac. Sci. & Tech. B 15, pp. 2509-2513 (1997).
  24. ^ M. Kotera et al., Microprocesses and Nanotechnology, 2007 Digest of Papers, pp. 94-95 (2007).
  25. ^ K. B. Nguyen et al., J. Vac. Sci. Tech. B 14, 4188 (1996).
  26. ^ S. Yang et al., IEDM '98 Technical Digest, pp. 197-200 (1998).
  27. ^ AMD uses EUV to pattern metal layer in 45 nm test chip
  28. ^ B. Haavind and J. Montgomery, "SPIE: AMD, IBM tip first "full-field" EUV chip," Solid State Technology, Feb. 27, 2008
  29. ^ O. R. Wood II et al., Proc. Spie 6517, 65170U (2007).
  30. ^ C. Taylor, "Samsung intros 64-Gbit MLC NAND chip," Electronic News, October 23, 2007.
  31. ^ H. Oizumi et al., 2005 International Symposium on EUVL.
  32. ^ NEDO 2007 print test: http://www.nedo.go.jp/english/archives/190820/190820.html
  33. ^ R. P. Meagley et al., U. S. Patent 7,235,344, assigned to Intel Corporation.

[edit] Related links

Languages