Property Specification Language

From Wikipedia, the free encyclopedia

Property Specification Language (PSL) is a language developed by Accellera for specifying properties or assertions about hardware designs. The properties can then be simulated or formally verified. Since September 2004 the standardization on the language has been done in IEEE 1850 working group. In September 2005, the IEEE 1850 Standard for Property Specification Language (PSL) was announced.

Property Specification Language aims to be used with multiple electronic system design languages such as:

[edit] See also


In other languages