PicoBlaze

From Wikipedia, the free encyclopedia

The PicoBlaze is a free soft processor core from Xilinx for use in FPGAs. It is based on an RISC architecture of 8 bits and can reach speed of up to 100 MIPS on the Virtex 4 FPGA's family. This processor has an 8-bit address and data port for access to a wide range of peripherals. The core is freeware and comes with development tools. Third party tools are available from Mediatronix and others.

PicoBlaze was originally named KCPSM which stands for "Ken Chapman's Programmable State Machine." Ken Chapman was the Xilinx systems designer who designed the microcontroller[1]. When instantiating the PicoBlaze microcontroller in VHDL, the component name kcpsm3 must be used. For example:

 component kcpsm3 is
   port (
     address : out std_logic_vector(9 downto 0);
     instruction : in std_logic_vector(17 downto 0);
     port_id : out std_logic_vector(7 downto 0);
     write_strobe : out std_logic;
     out_port : out std_logic_vector(7 downto 0);
     read_strobe : out std_logic;
     in_port : in std_logic_vector(7 downto 0);
     interrupt : in std_logic;
     interrupt_ack : out std_logic;
     reset : in std_logic;
     clk : in std_logic
     );
 end component;

[edit] See also

[edit] External links

[edit] References

[1]http://www.et.byu.edu/groups/ece320web/labs/lab3.html


In other languages