Gray code

From Wikipedia, the free encyclopedia

2-bit Gray code
00
01
11
10
3-bit Gray code
000
001
011
010
110
111
101
100
4-bit Gray code
0000
0001
0011
0010
0110
0111
0101
0100
1100
1101
1111
1110
1010
1011
1001
1000

The reflected binary code, also known as Gray code after Frank Gray, is a binary numeral system where two successive values differ in only one digit.

The reflected binary code was originally designed to prevent spurious output from electromechanical switches. Today, Gray codes are widely used to facilitate error correction in digital communications such as digital terrestrial television and some cable TV systems.

Contents

[edit] Name

The original name reflected binary code is derived from the fact that the second half of the values are equivalent to the first half in reverse order, except for the highest bit, which is inverted. The same property applies to the lower bits in each half of the code, each half of each half, etc.

The code was later named after Bell Labs researcher Frank Gray. He used it in his pulse code communication system, for which a patent was filed in 1947 (issued 1953). Gray did not invent the code; in his patent he cited it as "reflected binary code."[1]

[edit] History and practical application

Reflected binary codes were applied to mathematical puzzles before they became known to engineers. The French engineer Émile Baudot used Gray codes in telegraphy in 1878. He received the French Legion of Honor medal for his work. The Gray code is sometimes attributed, incorrectly, to Elisha Gray (in Principles of Pulse Code Modulation, K. W. Cattermole[2], for example)

Frank Gray, the physicist at Bell Labs who became famous for inventing the signaling method that came to be used for compatible color television, invented a method to convert analog signals to reflected binary code groups using vacuum tube-based apparatus.[3] The method and apparatus were patented in 1953 and the name of Gray stuck to the codes. The "PCM tube" apparatus that Gray patented was made by Raymond W. Sears of Bell Labs, working with Gray and William M. Goodall, who credited Gray for the idea of the reflected binary code.[4]

The use of his eponymous codes that Gray was most interested in was to minimize the effect of error in the conversion of analog signals to digital; his codes are still used today for this purpose, and others.

Part of front page of Gray's patent, showing PCM tube (10) with reflected binary code in plate (15)
Part of front page of Gray's patent, showing PCM tube (10) with reflected binary code in plate (15)
Rotary encoder for angle-measuring devices marked in 3-bit binary-reflected Gray code (BRGC)
Rotary encoder for angle-measuring devices marked in 3-bit binary-reflected Gray code (BRGC)

Gray codes are used in angle-measuring devices in preference to straightforward binary encoding. This avoids the possibility that, when several bits change in the binary representation of an angle, a misread could result from some of the bits changing before others. This application benefits from the cyclic nature of Gray codes, because the first and last values of the sequence differ by only one bit.

The binary-reflected Gray code can also be used to serve as a solution guide for the Tower of Hanoi problem. It also forms a Hamiltonian cycle on a hypercube, where each bit is seen as one dimension.

Due to the Hamming distance properties of Gray codes, they are sometimes used in Genetic Algorithms. They are very useful in this field, since mutations in the code allow for mostly incremental changes, but occasionally a single bit-change can cause a big leap and lead to new properties.

Gray codes are also used in labelling the axes of Karnaugh maps.

When Gray codes are used in computers to address program memory, the computer uses less power because fewer address lines change as the program counter advances.

In modern digital communications, Gray codes play an important role in error correction. For example, in a digital modulation scheme such as QAM where data is typically transmitted in symbols of 4 bits or more, the signal's constellation diagram is arranged so that the bit patterns conveyed by adjacent constellation points differ by only one bit. By combining this with forward error correction capable of correcting single-bit errors, it is possible for a receiver to correct any transmission errors that cause a constellation point to deviate into the area of an adjacent point. This makes the transmission system less susceptible to noise.

Digital logic designers use gray codes extensively for passing multi-bit count information between synchronous logic that operates at different clock frequencies. The logic is considered operating in different "clock domains". It is fundamental to the design of large chips that operate with many different clocking frequencies.

A typical use is building a fifo (first-in, first-out data buffer) that has read and write ports that exist in different clock domains. The updated read and write pointers need to be passed between clock domains when they change, to be able to track fifo empty and full status in each domain. Each bit of the pointers is sampled non-deterministically for this clock domain transfer. So for each bit, either the old value or the new value is propagated.

Therefore, if more than one bit in the multi-bit pointer is changing at the sampling point, a "wrong" binary value (neither new nor old) can be propagated.

By guaranteeing only one bit can be changing, gray codes guarantee that the only possible sampled values are the new or old multi-bit value. Typically gray codes of power-of-two length are used.

[edit] Motivation

Many devices indicate position by closing and opening switches. If that device uses natural binary codes, these two positions would be right next to each other:

...
011
100
...

The problem with natural binary codes is that, with real (mechanical) switches, it is very unlikely that switches will change states exactly in synchrony. In the transition between the two states shown above, all three switches change state. In the brief period while all are changing, the switches will read some spurious position. Even without keybounce, the transition might look like 011 -- 001 -- 101 -- 100. When the switches appear to be in position 001, the observer cannot tell if that is the "real" position 001, or a transitional state between two other positions. If the output feeds into a sequential system (possibly via combinatorial logic) then the sequential system may store a false value.

The reflected binary code solves this problem by changing only one switch at a time, so there is never any ambiguity of position,

Dec  Gray   Binary
 0   000    000
 1   001    001
 2   011    010
 3   010    011
 4   110    100
 5   111    101
 6   101    110
 7   100    111

Notice that state 7 can roll over to state 0 with only one switch change. This is called the "cyclic" property of a Gray code. A good way to remember gray coding is by being aware that the least significant bit follows a repetitive pattern of 2. That is 11, 00, 11 etc. and the second digit follows a pattern of fours.

More formally, a Gray code is a code assigning to each of a contiguous set of integers, or to each member of a circular list, a word of symbols such that each two adjacent code words differ by one symbol. These codes are also known as single-distance codes, reflecting the Hamming distance of 1 between adjacent codes. There can be more than one Gray code for a given word length, but the term was first applied to a particular binary code for the non-negative integers, the binary-reflected Gray code, or BRGC, the three-bit version of which is shown above.

[edit] Constructing an n-bit gray code

The binary-reflected Gray code for n bits can be generated recursively by reflecting the bits (i.e. listing them in reverse order and concatenating the reverse list onto the original list), prefixing the original bits with a binary 0 and then prefixing the reflected bits with a binary 1. The base case, for n=1 bit, is the most basic Gray code, G = {0, 1}. (The base case can also be thought of as a single zero-bit Gray code (n=0, G = { " " }) which is made into the one-bit code by the recursive process, as demonstrated in the Haskell example below).

The BRGC may also be constructed iteratively.

Here are the first few steps of the above-mentioned reflect-and-prefix method:

Image:Gray_code_reflect.png

These characteristics suggest a simple and fast method of translating a binary value into the corresponding BRGC. Each bit is inverted if the next higher bit of the input value is set to one. This can be performed in parallel by a bit-shift and exclusive-or operation if they are available. A similar method can be used to perform the reverse translation, but the computation of each bit depends on the computed value of the next higher bit so it cannot be performed in parallel.

[edit] Programming algorithms

Here is an algorithm in pseudocode to convert natural binary codes to Gray code (encode):

 Let B[n:0] be the input array of bits in the usual binary representation, [0] being LSB
 Let G[n:0] be the output array of bits in Gray code
   G[n] = B[n]
   for i = n-1 downto 0
     G[i] = B[i+1] XOR B[i]

This algorithm can be rewritten in terms of words instead of arrays of bits:

 G = B XOR (SHR(B))

Here is an algorithm to convert Gray code to natural binary codes (decode):

 Let G[n:0] be the input array of bits in Gray code
 Let B[n:0] be the output array of bits in the usual binary representation
   B[n] = G[n]
   for i = n-1 downto 0
     B[i] = B[i+1] XOR G[i]

[edit] Special types of Gray codes

In practice, a "Gray code" almost always refers to a binary-reflected Gray code. However, mathematicians have discovered other kinds of Gray codes. Like BRGCs, each consists of a lists of words, where each word differs from the next in only one digit (each word has a Hamming distance of 1 from the next word).

[edit] n-ary Gray code

3-digit ternary Gray codes
000
001
002
012
011
010
020
021
022
122
121
120
110
111
112
102
101
100
200
201
202
212
211
210
220
221
222

There are many specialized types of Gray codes other than the binary-reflected Gray code. One such type of Gray code is the n-ary Gray code, also known as a non-Boolean Gray code. As the name implies, this type of Gray code uses non-Boolean values in its encodings.

For example, a 3-ary (ternary) Gray code would use the values {0, 1, 2}. The (n,k)-Gray code is the n-ary Gray code with k digits [5]. The sequence of elements in the (3,2)-Gray code is: {00, 01, 02, 12, 11, 10, 20, 21, 22}. The (n,k)-Gray code may be constructed recursively, as the BRGC, or may be constructed iteratively. A pseudocode algorithm to iteratively generate the (n,k)-Gray code based on the work of Dah-Jyu Guan [6] is presented:

int n[k+1]; // stores the maximum for each digit
int g[k+1]; // stores the Gray code
int u[k+1]; // stores +1 or -1 for each element
int i, j;

// initialize values
for(i = 0; i <= k; i++) {
        g[i] = 0;
        u[i] = 1;
        n[i] = n;
}

// generate codes
while(g[k] == 0) {
        i = 0;
        j = g[0] + u[0];
        while((j >= n[i]) || (j < 0)) {
                u[i] = -u[i];
                i++;
                j = g[i] + u[i];
        }
        g[i] = j;
}
// g[i] now holds the (n,k)-Gray code

It is important to note that the (n,k)-Gray codes produced by the above algorithm lack the cyclic property for odd n; it can be observed that in going from the last element in the sequence, 22, and wrapping around to the first element in the sequence, 00, two digits change, unlike in a binary Gray code, in which only one digit would change. An (n,k)-Gray code with even n, however, retains the cyclic property of the binary Gray code.

The same algorithm in Scheme (not pseudo)

(define-syntax while
 (syntax-rules ()
  ((while test body ...)
   (let loop () (if test (begin body ... (loop)))))))

(define (gray-code n k) ;--> (n k) gray code
 (define g (make-vector k 0))
 (define u (make-vector k 1))
 (cons (vector->list g)
  (let loop ()
   (let/ec ec
    (let ((i 0) (j (+ (vector-ref g 0) (vector-ref u 0))))
     (while (or (>= j n) (< j 0))
      (vector-set! u i (- (vector-ref u i)))
      (set! i (add1 i))
      (if (>= i k) (ec ()))
      (set! j (+ (vector-ref g i) (vector-ref u i))))
     (vector-set! g i j)
     (cons (vector->list g) (loop)))))))

Gray codes are not uniquely defined, because a permutation of the columns of such a code is a Gray code too. The above procedure produces a code in which each digit switches faster than all digits to its right. An algorithm producing the m-th element of a such a gray code without computing the whole code, is:

(define (number->gray-code-element m n k) ; m n k --> m-th element of (n,k) gray code
 (let ((2n (* 2 n)))
  (let loop ((m m) (k k) (gc ()))
   (if (zero? k) gc
    (let ((q (quotient m n)) (r (modulo m 2n)))
     (loop q (sub1 k) (cons (if (>= r n) (- 2n r 1) r) gc)))))))

; With inverse

(define (gray-code-element->number gc n) ; m-th element of (n,k) gray-code --> m
 (let loop ((gc gc) (significance (expt n (sub1 (length gc)))))
  (if (null? gc) 0
   (let ((digit (car gc)) (gc (cdr gc)))
    (let ((m (loop gc (quotient significance n))))
     (+ (* digit significance)
      (if (odd? digit) (- significance m 1) m)))))))

There is a simple recursive algorithm for finding a circular (3,k) Gray code:

 (define (ternary-gray-code k)
  (define (circular-hamilton-path           k a b c)
   (if (positive? k)
    (let ((k (sub1 k)))
     (start-of-circular-hamilton-path       k a c b)  
     (move-disk                             k a b c) 
     (non-circular-hamilton-path            k c a b) 
     (move-disk                             k b c a) 
     (non-circular-hamilton-path            k a b c) 
     (move-disk                             k c a b)   
     (finish-of-circular-hamilton-path      k b a c))))
  (define (non-circular-hamilton-path       k a b c)
   (if (> k 0)
    (let ((k (sub1 k)))
     (non-circular-hamilton-path            k a b c)
     (move-disk                             k a c b)
     (non-circular-hamilton-path            k b a c)
     (move-disk                             k c b a)
     (non-circular-hamilton-path            k a b c))))
  (define (start-of-circular-hamilton-path  k a b c)
   (if (positive? k)
    (let ((k (sub1 k)))
     (start-of-circular-hamilton-path       k a c b)
     (move-disk                             k a b c)
     (non-circular-hamilton-path            k c b a))))
  (define (finish-of-circular-hamilton-path k a b c)
   (if (positive? k)
    (let ((k (sub1 k)))
     (non-circular-hamilton-path            k a c b)
     (move-disk                             k a b c)
     (finish-of-circular-hamilton-path      k c b a))))
  (define (move-disk                        k a b c)
   (vector-set! code k b)
   (set! code-list (cons (vector->list code) code-list)))
  (define code (make-vector k 0))
  (define code-list ())
  (circular-hamilton-path k 0 1 2)
  code-list)

In fact the ternary Gray codes produced by the above program show how to move a Tower of Hanoi from its starting peg back onto the same peg while passing every combination of disks exactly once. This path is uniquely defined modulo the choice of its starting point and reversal. This is not true for (3,k) Gray codes in general (e.g, the columns of the code can be permuted)

[edit] Beckett–Gray code

Another interesting type of Gray code is the Beckett–Gray code. The Beckett–Gray code is named after Samuel Beckett, an Irish playwright especially interested in symmetry. One of his plays, "Quad", was divided into sixteen time periods. At the end of each time period, Beckett wished to have one of the four actors either entering or exiting the stage; he wished the play to begin and end with an empty stage; and he wished each subset of actors to appear on stage exactly once [6]. Clearly, this meant the actors on stage could be represented by a 4-bit binary Gray code. Beckett placed an additional restriction on the scripting, however: he wished the actors to enter and exit such that the actor who had been on stage the longest would always be the one to exit. The actors could then be represented by a first in, first out queue data structure, so that the first actor to exit when a dequeue is called for is always the first actor which was enqueued into the structure [6]. Beckett was unable to find a Beckett–Gray code for his play, and indeed, an exhaustive listing of all possible sequences reveals that no such code exists for n = 4. Computer scientists interested in the mathematics behind Beckett–Gray codes have found these codes very difficult to work with. It is today known that codes exist for n = {2, 5, 6} and they do not exist for n = {3, 4}. The search space for n = 6 is so large that it has not been exhaustively searched and several hundred thousand Beckett–Gray codes for n = 6 are known; the search space for n = 7 is so large that only a non-cyclic Beckett–Gray code (and therefore not technically of the kind originally proposed by Beckett) was found after several months of computing time [6].

[edit] Snake-in-the-box codes

Snake-in-the-box codes, or snakes, are the sequences of nodes of induced paths in an n-dimensional hypercube graph, and coil-in-the-box codes, or coils, are the sequences of nodes of induced cycles in a hypercube. Viewed as Gray codes, these sequences have the property of being able to detect any single-bit coding error. Codes of this type were first described by W. H. Kautz in the late 1950's[7]; since then, there has been much research on finding the code with the largest possible number of codewords for a given hypercube dimension.

[edit] Single-track Gray code

Yet another kind of gray code is the single-track Gray code.

To get high angular accuracy with a BRGC, one needs lots of tracks. If one wants at least 1 degree accuracy (at least 360 distinct positions per revolution) with standard BRGC, it requires at least 9 tracks. (That actually gives 512 distinct positions).

If the manufacturer moves a contact to a different angular position (but at the same distance from the center shaft), then the corresponding "ring pattern" needs to be rotated the same angle to give the same output. If the most significant bit (the inner ring in Figure 1) is rotated enough, it exactly matches the next ring out. Since both rings are then identical, the inner ring can be cut out, and the sensor for that ring moved to the remaining, identical ring (but offset at that angle from the other sensor on that ring).

Those 2 sensors on a single ring make a quadrature encoder.

That reduces the number of tracks for a "1 degree resolution" angular encoder to 8 tracks.

Reducing the number of tracks still further can't be done with BRGC.

For many years, Torsten Sillke and other mathematicians believed that it was impossible to encode position on a single track such that consecutive positions differed at only a single sensor, except for the 2-sensor, 1-track quadrature encoder.

So for applications where 8 tracks was too bulky, people used single-track incremental encoders (quadrature encoders) or 2-track "quadrature encoder + reference notch" encoders.

However, in 1996 Hiltgen, Paterson and Brandestini published a paper showing it was possible, with several examples.

In particular, a single-track gray code has been constructed that has exactly 360 angular positions, using only 9 sensors, the same as a BRGC with the same resolution (it would be impossible to discriminate that many positions with any fewer sensors).

The single-track Gray code was originally defined by Hiltgen, Paterson and Brandestini in "Single-track Gray codes" (1996). The STGC is a cyclical list of P unique binary encodings of length n such that two consecutive words differ in exactly one position, and when the list is examined as a P x n matrix, each column is a cyclic shift of the first column [8]. An n = 5 STGC is reproduced here:

10000    01000    00100    00010    00001
10100    01010    00101    10010    01001
11100    01110    00111    10011    11001
11110    01111    10111    11011    11101
11010    01101    10110    01011    10101
11000    01100    00110    00011    10001


Note that each column is a cyclic shift of the first column, and if each entry is read down each column and from the bottom entry of one column to the top of the next, only one bit changes [9]. The single-track nature (like a code chain) is useful in the fabrication of these wheels (compared to BRGC), as only one track is needed, thus reducing their cost and size. The Gray code nature is useful (compared to chain codes), as only one track will change at any one time, so the uncertainty during a transition between two discrete states will only be plus or minus one unit of angular measurement the device is capable of resolving [10].

[edit] See also

[edit] Footnotes

  1. ^ F. Gray. Pulse code communication, March 17, 1953 (filed Nov. 1947). U.S. Patent 2,632,058 , claims 1-9
  2. ^ K. W. Cattermole, Principles of Pulse Code Modulation, American Elsevier Publishing Company, Inc., 1969, New York NY, ISBN 0-444-19747-8.
  3. ^ Knuth, Donald E. "Generating all n-tuples." The Art of Computer Programming, Volume 4A: Enumeration and Backtracking, pre-fascicle 2a, October 15, 2004. [1]
  4. ^ W. M. Goodall, "Television by Pulse Code Modulation," Bell Sys. Tech. J., Vol. 30 pp. 33–49, 1951.
  5. ^ Guan, Dah-Jyu (1998). "Generalized Gray Codes with Applications". Proc. Natl. Sci. Counc. Repub. Of China (A) 22: 841–848.
  6. ^ a b c Goddyn, Luis (1999). MATH 343 Applied Discrete Math Supplementary Materials. Dept. of Math, Simon Fraser U.
  7. ^ Kautz, W. H. (1958). "Unit-distance error-checking codes". IRE Trans. Elect. Comput. 7: 177–180.
  8. ^ Etzion, Tuvi; Moshe Schwartz (1999). "The Structure of Single-Track Gray Codes". IEEE Transactions on Information Theory 45: 2383–2396.
  9. ^ Venn Diagram Survey — Symmetric Diagrams. The Electronic Journal of Combinatorics (2001).
  10. ^ Alciatore and Histand. Introduction to Mechatronics and Measurement Systems.

[edit] References

  • Black, Paul E. Gray code. 25 Feb. 2004. NIST. [2].
  • Savage, Carla. "A Survey of Combinatorial Gray Codes." Society of Industrial and Applied Mathematics Review 39 (1997): 605-629. [3].

[edit] External links